Özcan  Öztürk

English version

Özcan Öztürk

E-posta : ozcan.ozturksabanciuniv.edu

Kişisel web sayfası

Araştırma Alanları :

Donanım Hızlandırıcıları, Heterojen Sistemler, Paralel Bilgi İşlem, Bilgisayar Mimarisi, GPU Hesaplama, Derleyici Optimizasyonları, Gömülü Sistemler, Yonga Üzeri Sistem Mimarileri
SU Öncesi Yayınları:
  1. GateKeeper-GPU: Fast and Accurate Pre-Alignment Filtering in Short Read Mapping, by Zülal Bingöl, Mohammed Alser, Onur Mutlu, Ozcan Ozturk, and Can Alkan. . IEEE Transactions on Computers, Accepted. [SCI]
  2. HLS-based High-Throughput and Work-Efficient Synthesizable Graph Processing Template Pipeline, by Hamzeh Ahangari, Muhammet Mustafa Ozdal, and Ozcan Ozturk. ACM Transactions on Embedded Systems (TECS) Volume 22, Issue 2, Article No. 34. https://doi.acm.org?doi=3529256. [SCI]
  3. Architecture for Safety-critical Transportation Systems, by Hamzeh Ahangari, Yusuf Ibrahim Ozkok, Asil Yildirim, Fatih Say, Funda Atik, Ozcan Ozturk. Microprocessors and Microsystems, Volume 98, 2023, 104818, ISSN 0141-9331, https://doi.org/10.1016/j.micpro.2023.104818. [SCI-E]
  4. Energy Efficient Boosting of GEMM Accelerators for DNN via Reuse, by Nihat Mert Cicek, Xipeng Shen, Ozcan Ozturk. ACM Transactions on Design Automation of Electronic Systems (TODAES), Volume 27, Issue 5, Article 43 (September 2022), https://doi.org/10.1145/3503469 [SCI]
  5. General Reuse-Centric CNN Accelerator, by Nihat Cicek, Lin Ning, Ozcan Ozturk, Xipeng Shen. IEEE Transactions on Computers, vol. 71, no. 4, pp. 880-891, 1 April 2022, doi: 10.1109/TC.2021.3064608. [SCI]
  6. Scheduling for Heterogeneous Systems in Accelerator-Rich Environments, by Serif Yesil and Ozcan Ozturk, The Journal of Supercomputing, 78, 200-221 (2022). https://doi.org/10.1007/s11227-021-03883-5. [SCI-E]
  7. Recent Advances in Autonomous Vehicle Solutions in the Digital Continuum, by Ozcan Ozturk, Sabri Pllana, Smail Niar, and Kaoutar El Maghraoui. Computing, 104, 459-460, 2022, DOI: 10.1007/s00607-021-01024-7. [SCI-E]
  8. ILP Formulation and Heuristic Method for Energy-aware Application Mapping on 3D-NoCs, by Yigitcan Nalci, Pinar Kullu, Suleyman Tosun, and Ozcan Ozturk.The Journal of Supercomputing. DOI: 10.1007/s11227-020-03365-0, July 2020. [SCI-E]
  9. Power-efficient reliable register file for aggressive-environment applications, by Ihsen Alouani, Hamzeh Ahangari, Ozcan Ozturk, and Smail Niar. IET Computers & Digital Techniques, Volume 14, Issue 1, January 2020, p. 1-8. DOI: 10.1049/iet-cdt.2018.5047. [SCI-E]
  10. Exploiting Architectural Features of a Computer Vision Platform Towards Reducing Memory Stalls, Naveed Ul Mustafa, Martin J.O'Riordan, Stephen Rogers, Ozcan Ozturk, Journal of Real-Time Image Processing, Volume 17, 2020. DOI: 10.1007/s11554-018-0830-8. [SCI-E]
  11. Analysis of Design Parameters in Safety-Critical Computers, by H. Ahangari, F. Atik, Y. I. Ozkok, A. Yildirim, S. O. Ata and O. Ozturk. IEEE Transactions on Emerging Topics in Computing, July-Sept. 2020, pp. 712-723, Vol. 8. DOI: 10.1109/TETC.2018.2801463. [SCI-E]
  12. Adaptive Thread Scheduling in Chip Multiprocessors, by Ismail Akturk and Ozcan Ozturk. International Journal of Parallel Programming, Volume 47, 1014-1044, 2019. DOI: 10.1007/s10766-019-00637-y. [SCI-E]
  13. A Novel Heterogeneous Approximate Multiplier for Low Power and High Performance, Ihsen Alouani, Hamzeh Ahangari, Ozcan Ozturk, Smail Niar, IEEE Embedded Systems Letters 10(2): 45-48, 2018. [SCI-E]
  14. Classifying Data Blocks at Subpage Granularity with an On-Chip Page Table to Improve Coherence in Tiled CMPs, by M. Soltaniyeh, I. Kadayif, and O. Ozturk. IEEE Trans. on CAD of Integrated Circuits and Systems 37(4): 806-819, 2018. [SCI]
  15. A Template-Based Design Methodology for Graph-Parallel Hardware Accelerators, by Andrey Ayupov, Serif Yesil, Muhammet Mustafa Ozdal, Taemin Kim, Steven M. Burns, Ozcan Ozturk. IEEE Transactions on CAD of Integrated Circuits and Systems 37(2): 420-430, 2018. [SCI]
  16. Graph Analytics Accelerators for Cognitive Systems, by Muhammet Mustafa Ozdal, Serif Yesil, Taemin Kim, Andrey Ayupov, John Greth, Steven Burns, and Ozcan Ozturk, IEEE MICRO, Volume: 37, Issue: 1, Pages: 42 - 51, DOI: 10.1109/MM.2017.7, 2017. [SCI]
  17. Optimization-Based Power and Thermal Management for Dark Silicon Aware 3D Chip Multiprocessors Using Heterogeneous Cache Hierarchy, by A. Asad, M. Fathy, M. R. J. Motlagh, O. Ozturk, Microprocessors and Microsystems (MICPRO) - Embedded Hardware Design, Volume 51, Pages 76-98, 2017. [SCI-E]
  18. Cache Hierarchy-Aware Query Mapping On Emerging Multicore Architectures, by Ozcan Ozturk, Umut Orhan, Wei Ding, Praveen Yedlapalli, Mahmut Kandemir, IEEE Transactions on Computers (TC), IEEE Trans. Computers 66(3): 403-415 (2017). [SCI]
  19. Pipelined Fission for Stream Programs with Dynamic Selectivity and Partitioned State, Bugra Gedik, Habibe G Ozsema, Ozcan Ozturk, Journal of Parallel and Distributed Computing, 96: 106-120, 2016. [SCI]
  20. Fault-Tolerant Topology Generation Method for Application-Specific Network-on-Chips, by Tosun, S.; Ajabshir, V.; Mercanoglu, O.; Ozturk, O. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, doi: 10.1109/TCAD.2015.2413848. [SCI]
  21. Application mapping algorithms for mesh-based network-on-chip architectures, by Suleyman Tosun, Ozcan Ozturk, Erencan Ozkan and Meltem Ozen, The Journal of Supercomputing, Volume 71, Issue 3, Pages 995-1017, 2015. [SCI]
  22. Energy Reduction in 3D NoCs Through Communication Optimization, O. Ozturk, I. Akturk, I. Kadayif, and S. Tosun, Computing, Vol 97, Issue 6, Pages 593-609, 2015 [SCI]
  23. Voltage Island Based Heterogeneous NoC Design Through Constraint Programming, by Ayhan Demiriz, Nader Bagherzadeh, Ozcan Ozturk. Computers and Electrical Engineering, Computers & Electrical Engineering, Volume 40, Issue 8, Pages 307-316, 2014. [SCI-E]
  24. Application-Specific Heterogeneous Network-on-Chip Design, by Dilek Demirbas; Ismail Akturk; Ozcan Ozturk; Ugur Gudukbay. The Computer Journal, Volume 57, Issue 8, pages 1117-1132, August 2014. [SCI-E]
  25. Improving Application Behavior on Heterogeneous Manycore Systems Through Kernel Mapping, by O. Erdil Albayrak, Ismail Akturk, and Ozcan Ozturk, Parallel Computing, Volume 39, Issue 12, December 2013, Pages 867-878. [SCI]
  26. A Decoupled Local-Memory Allocator, by B. Diouf, C. Hantas, A. Cohen, O. Ozturk, and J. Palsberg, ACM Transactions on Architecture and Code Optimization (TACO), Vol. 9, No. 4, Article 34, Publication date: January 2013. [SCI-E]
  27. Compiler-Directed Energy Reduction Using Dynamic Voltage Scaling and Voltage Islands for Embedded Systems, by O. Ozturk, M. Kandemir, and G. Chen, IEEE Transactions on Computers (TC), Vol. 62, No. 2, pages 268-278, February 2013. [SCI]
  28. Hardware/Software Approaches for Reducing the Process Variation Impact on Instruction Fetches, by I. Kadayif, M. Turkcan, S. Kiziltepe, and O. Ozturk, ACM Transactions on Design Automation of Electronic Systems (TODAES), Volume 18, Number 4, Pages 54:1-54:23, October 2013. [SCI-E]
  29. Reliability-Aware Heterogeneous 3D Chip Multiprocessor Design, by Ismail Akturk and Ozcan Ozturk. Journal of Electronic Testing Theory and Applications, Volume 29, Issue 2, pages 177-184, April 2013. [SCI-E]
  30. Reducing Memory Space Consumption Through Dataflow Analysis, by O. Ozturk, Computer Languages, Systems & Structures, Volume 37, Issue 4, October 2011, pages 168-177. [SCI-E]
  31. Multicore Education Through Simulation, by O. Ozturk, IEEE Transactions on Education (TE), Volume 54, Issue 2, pages 203-209, May 2011. [SCI]
  32. Data Locality and Parallelism Optimization Using A Constraint-Based Approach, by O. Ozturk, Journal of Parallel and Distributed Computing (JPDC), volume 71, issue 2, pages 280-287, 2011. [SCI]
  33. Heterogeneous NoC Design Through Evolutionary Computing, by Ozcan Ozturk and Dilek Demirbas, International Journal of Electronics, Francis & Taylor, Volume 97, No. 10, pages 1139-1161, 2010. [SCI]
  34. On-Chip Memory Space Partitioning for Chip Multiprocessors using Polyhedral Algebra, by O. Ozturk, M. Kandemir, M. J. Irwin. IET Computers & Digital Techniques, Volume 4, Issue 6, pages 484-498, 2010. [SCI-E]
  35. Improving Chip Multiprocessor Reliability Through Code Replication, by Ozcan Ozturk. Computers & Electrical Engineering, Elsevier, Issue 36, pages 480-490, 2010. [SCI-E]
  36. Compiler Directed Communication Reliability Enhancement for Chip Multiprocessors, by O. Ozturk, M. Kandemir, S. Narayanan, and M. J. Irwin. ACM SIGPLAN Notices, Vol. 45, No. 4, pp. 85-94, 2010. [SCI-E]
  37. Using Data Compression for Increasing Memory System Utilization, by Ozcan Ozturk, Mahmut Kandemir, Mary J. Irwin. IEEE Transactions on Computer Aided Design, Volume 28, Number 6, pages 901-914, June 2009. [SCI]
  38. Shared scratch pad memory space management across applications, by O. Ozturk, M. Kandemir, S. W. Son, and I. Kolcu. International Journal of Embedded Systems, Vol. 4, No.1 pp. 54-65, 2009.
  39. ILP Based Energy Minimization Techniques for Banked Memories, by O. Ozturk and M. Kandemir. ACM Transactions on Design Automation of Electronic Systems (TODAES), Volume 13 , Issue 3, July 2008. [SCI-E]
  40. Access Pattern-Based Code Compression For Memory-Constrained Systems, by O. Ozturk, M. Kandemir, and G. Chen. ACM Transactions on Design Automation of Electronic Systems (TODAES), Volume 13, Issue 4, September 2008. [SCI-E]
  41. Compiler-Directed Energy Optimization for Parallel Disk Based Systems, by S. W. Son, G. Chen, O. Ozturk, M. Kandemir, and A. Choudhary, IEEE Transactions on Parallel and Distributed Systems (TPDS), Volume 18, Number 9, pp. 1241-1257, September, 2007. [SCI]
  42. Optimizing Array-Intensive Applications for On-Chip Multiprocessors, by I.Kadayif, M.Kandemir, G.Chen, O.Ozturk, M.Karakoy, and U.Sezer. IEEE Transactions on Parallel and Distributed Systems (TPDS), Volume 16, Number 5, May 2005. [SCI]
  43. An ILP formulation for task scheduling on heterogeneous chip multiprocessors, by S. Tosun, N. Mansouri, and M. Kandemir. Lecture Notes in Computer Science (LNCS) 4263 Springer 2006, ISBN 3-540-47242-8.
  44. An ILP-Based Approach to Locality Optimization, by G. Chen, O. Ozturk, and M. Kandemir. Lecture Notes in Computer Science (LNCS) 3602 Springer 2004, Languages and Compilers for High Performance Computing, pages 149-163.
  45. Using data compression to increase energy savings in multi-bank memories, by M.Kandemir, O.Ozturk, M.J.Irwin, and I.Kolcu. Lecture Notes in Computer Science (LNCS) 3149 Springer 2004, ISBN 3-540-22924-8, pages 310-317.